Tek-Tips is the largest IT community on the Internet today!

Members share and learn making Tek-Tips Forums the best source of peer-reviewed technical information on the Internet!

  • Congratulations SkipVought on being selected by the Tek-Tips community for having the most helpful posts in the forums last week. Way to Go!

Search results for query: *

  1. VhdlForLife

    'EVENT ERROR IN IF STATEMENT

    Most synthesis tools have stricter rules than simulation tools since they have to produce hardware that works. One of the most common rule of thumbs you should keep in mind is that synthesizers usually don't like more than one type of edge triggered event in a process. Processes that are...
  2. VhdlForLife

    Newbie - Needs help

    Sounds like you're really new to VHDL. Remember that this is not a programming language but a hardware description language. 1) You need both the entity and the architecture declaration since the entity just allows you to provide the input and output signals and some generics while the...
  3. VhdlForLife

    how to make bold text inside java.lang.string

    I don't think that it is possible using java.lang.String However if you're using JToolTip you can use html strings to print things out like a web page.
  4. VhdlForLife

    Reading Text File

    If you're using the new J2SE 5.0 (1.5.0), you can use Scanner which is way easier to use than BufferedReader. http://java.sun.com/j2se/1.5.0/docs/api/java/util/Scanner.html Or else, you could just check to see if line contains any text and only output when text is seen.
  5. VhdlForLife

    I need help with writing a barcode program.

    Is there a barcode scanner to input the barcode? How does the used input the barcode?
  6. VhdlForLife

    Let the User draw a line to connect two rectangles

    If you define your rectangles as components, you can write code to snap the lines to the rectangles in the mouseEntered method of the MouseListener interface.
  7. VhdlForLife

    new to this

    Since you have the right background, I think it shouldn't be a problem for you to learn it on your own. There are many books out there. One that I found quite helpful because of it practicality is Essential VHDL: RTL Synthesis Done Right by Sundar Rajan
  8. VhdlForLife

    Vhld syntax problem? Beginners problem, vectors

    You're trying to connect a 1-bit signal to a 3-bit signal. That is not allowed! Did you forget the index of the bit you want connected to rx_dv? [I'm assuming that there is more code between the entity and the component which you did not show.]
  9. VhdlForLife

    Creating a ram in vhdl for the xilinx evaluation boards

    Yes, it would be a good idea for you to describe/include the code you used.
  10. VhdlForLife

    debugging program

    Looks like you actually wanted the if statement for the reset signal to be: if reset='1' then instead of if reset<='1' then
  11. VhdlForLife

    test bench in VHDL

    DATA <= (OTHERS => 'Z');
  12. VhdlForLife

    BEHAVIORAL ALU displays error on lines 21, 23-30

    Looks like you defined your opResult to be an input. You need to make it an output. You also need to place the case statement inside a process since it is sequential and not concurrent. When you do that you will need to change the three lines before the last line of your case statement. If...
  13. VhdlForLife

    How to divide by 10 keeping the code synthisizeble?

    Jan, Are you dividing real numbers or integers? Is the purpose of this division to implement a hardware divide or is it for some internal portion of your actual hardware?
  14. VhdlForLife

    VHDL logic error

    byoung, Most synthesizers are unable to synthesize else statements that come after an edge triggered condition like rising_edge or falling_edge because the else condition is true all the time except during the instant that the edge occurs. Some synthesizers just ignore this quietly, some give...
  15. VhdlForLife

    bit stuffer in vhdl

    Is the input serial? If so, what kind of protocol is it using? What level of design are you supposed to do - behavioral, structural or gate level?
  16. VhdlForLife

    how do i create a negative pulse in vhdl

    If you mean by negative pulse you mean a pulse that looks like this: ---------- -------------- | | ------ then all you need to do is initialize the signal to HIGH and then set it to LOW for a short period of time and then set it HIGH again after that.
  17. VhdlForLife

    Installation of packages from floppies

    Hi,<br><br>I've successfully installed Debian on a machine without a CD-ROM drive or Zip drive. My question is how do I fragment the rest of the packages (especially those &gt; 1.44MB) so that they can fit onto a floppy and can then&nbsp;&nbsp;be transferred to the hard disk and recombined. I...
  18. VhdlForLife

    A word of advice

    It's obvious that many people have misunderstood the reason for my original post. Let me emphasize that I am <b>NOT</b> against students posting questions; I welcome <b>ALL</b> students with open arms. The <b>ONLY</b> problem I have is the posts that are <b>VERY OBVIOUSLY</b> homework...
  19. VhdlForLife

    A word of advice

    Thanks ssingh for clearing that up. Wouldn't want to mislead the charlesy.
  20. VhdlForLife

    A word of advice

    It looks like the function shells out to the system. The filename doesn't really look like it has to be the same as the name of the program (more like an identifier used when referencing the running applications). arg0 would have to be the actual name of the program you wish to run.

Part and Inventory Search

Back
Top