Hello Sally000,
I do not fully understand the entire system from your explanation, I'm sorry.
But what I do notice is that your parallel to serial converters shifts left or MSB (=3) first , but the shift register shifts right LSB (=0) first.
I would try making both the same shift direction...
Hello Flamefury,
I would just go for a shiftregister.
Lets say you have 8 LEDs then make a shiftregister of 8 bit.
signal LEDreg : std_logic_vector(7 downto 0) := (others => '0');
p_shiftreg : process (clk)
begin
if rising_edge(clk)then
Ledreg(0) <= input;
Ledreg(7 downto 1) <=...
Hello,
One general remark on loops in VHDL.
VHDL is a hardware description language.
It reacts different from software programming languages that tell processors what to do.
Even a mighty intel processor can only do one thing at a time (yes there are "behind the comma" discussion possible...
Hello krkrkr,
It has been a while since I've used the embedded PPC in Xilinx virtexes.
We used to use them as main processors, but to be honest we encountered situations where simple external microcontrollers were killing them selves because they got nuts of waiting for the PPC. They are...
Hello Rosluc,
Let me start by saying that you don't give a lot of information on what you want.
Fifo's are very comonly used components and they exist in many flavours.
A majo distinction is synchronous and asynchronous fifos. Mainly in my work I use asynchronous ones 99% of the time.
A...
Hello,
Does anyone have experience with or knowledge of re-defining the function of an FPGA based PCIe expansion card while the computer continues running.
Lets take the following fictional example.
A PCIe card x8 with an FPGA on board performs pheripheral functionality A for the system's CPU...
slowstart,
let's get a few things straight.
Delta delay is a simulation thing. It is there because 1 processor cannot do two things at the same time, where hardware can. So a processor simulator needs delta delays to emulate concurrency of hardware.
Now decent hardware design is synchronous...
Zsolt,
This is a one of many ways to do edge detection.
direction: process(clk)
begin
if clk'event and clk='1' then
delay_rotary_q1 <= rotary_q1;
if rotary_q1='1' and delay_rotary_q1='0' then
rotary_event <= '1';
rotary_left <= rotary_q2;
else...
sunil401,
Unfortunately this is not correct.
What you have made here is a signal with a period of 261 kHz (100MHz /383) given that clk is 100MHz. Also the signal you made will have a period of 1/261 kHz but will only have a small duty cycle (1/100MHz on time). This is not really good if you...
tasos69,
Make sure tp is also a std_logic_vector 1 downto 0.
Why not just loop trough P1i to P1o (p1o <= p1i) altohough it would be better to clock it if possible.
wildchang,
This is perfectly possible in VHDL.
lets say the inout port is named A
A_int is an internal signal containing the output values for the port
A_OE is the output enable for the port A
you use the following syntax to asign the output:
A <= A_int when A_OE = '1' else 'Z';
This is...
Nagiboy,
Stick to the rules my friend.
In synchronous design only one signal in the sensitivity list being the clock (except for an asynchronous set or reset if you really want/need this).
Also take my advise and stick to using one clock per process it keeps the code more understandable and...
John5788,
This is a funny experiment, at least I hope it is because I cannot see the use of this code.
For one thing I think this will give you timing issues when you want to implement this in real. Certainly at higher frequencies.
What I think is the problem is that you have the four flip...
dsimon19,
VHDL and floats.
A couple of questions you need to ask yourself first:
synthesis or simulation?
Do I really need floating pointor can I live with fixed point?
Some explanation:
VHDL supports floats for none synthesis.
When you want to synthesize the trouble starts.
Writing c <=...
ROOZ123,
I haven't uses strings like that before, because I usually write RTL code that synthesizes.
Your code extract is also limmited so I do not know what you want to do.
Whate I'm thinking of is that you need generics.
Lets say you're writting a memory element that can work in dual or...
Hello Oppenheimer,
Are you only trying to implement this in simulation or do you really want to synthesize the code?
The thing is you talk about a CPLD, now a CPLD is de facto something with few flip flops. And the basic way to do clock division is using flipflops.
If you use a simple FPGA or...
PouriaPouria,
by exits is assume you mean outputs and thus you are thinking of a fan out problem.
What determines the speed of the statemachine is the logical (combinatorical) path of the next state logic.
Unless your synthesizer is already complaining (warning or error) on fan out issues. I'm...
JosipBros,
Sure,
You do this with a shift register, this is typically a parallel in and serial out register, and of course you can do the same in the other direction.
First some assumptions :
This is only provide you the process code for the shift register.
This is for parallel to serial...
Brian,
Make another another counter process on the s_clock.
Make this a counter you can start and clear with strobes.
You write a terminal count by comparing the counter value with a signal in this way you have dynamical counter process (you can select 10 or 20 or whatever you want seconds)...
This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
By continuing to use this site, you are consenting to our use of cookies.