Tek-Tips is the largest IT community on the Internet today!

Members share and learn making Tek-Tips Forums the best source of peer-reviewed technical information on the Internet!

  • Congratulations Mike Lewis on being selected by the Tek-Tips community for having the most helpful posts in the forums last week. Way to Go!

demultiplexor

Status
Not open for further replies.

edje66

Programmer
Jul 19, 2004
11
0
0
FR
Hello, I begin in VHDL and I try to create a demultiplexor 1 to 8 with a 30bits bus. If someone can help me!
this is the code: what must I do?


Library IEEE;
Use IEEE.std_logic_1164.all;

Entity demux is
Port(
Signal sel: in std_logic_vector(2 downto 0);
Signal en: in std_logic;
Signal data0x: out std_logic_vector(29 downto 0);
Signal data1x: out std_logic_vector(29 downto 0);
Signal data2x: out std_logic_vector(29 downto 0);
Signal data3x: out std_logic_vector(29 downto 0);
Signal data4x: out std_logic_vector(29 downto 0);
Signal data5x: out std_logic_vector(29 downto 0);
Signal data6x: out std_logic_vector(29 downto 0);
Signal data7x: out std_logic_vector(29 downto 0);
Signal entree: in std_logic_vector(29 downto 0));
end demux;

architecture behavior of demux is
begin
process(sel,en)
begin
data0x<=(others=> '0');
data1x<=(others=> '0');
data2x<=(others=> '0');
data3x<=(others=> '0');
data4x<=(others=> '0');
data5x<=(others=> '0');
data6x<=(others=> '0');
data7x<=(others=> '0');
if(en='1')then
case sel is
when "000"=>data0x<=entree;
when "001"=>data1x<=entree;
when "010"=>data2x<=entree;
when "011"=>data3x<=entree;
when "100"=>data4x<=entree;
when "101"=>data5x<=entree;
when "110"=>data6x<=entree;
when "111"=>data7x<=entree;
end case;
end if;
end process;
end behavior;
 
What is the problem ? The code ? Or compiling ? Or device ?

Regards, Kriki
 
when compiliing there is message error: i use too much I/o pin.
 
Try an other device with more I/O pins ... min. 274.

What program do you use ??

Regards, Kriki
 
I use quartus V4.1 i work with a cyclone
 
Cyclone is 256 pins max. only ... Look in Assignments->Device->Family-> PinCounts over 274

Could be Stratix II/Flex10k ...

Do you really need 8x 30Bit Input ??

Regards, Kriki
 
In fact I need to calculate a SQRT but this box is too slow so I will put in parrele the samples. It's why I want a demultiplexer 8x 30Bit. Or my be another possibility
 
And is it working right now ???

Regards, Kriki
 
No. I forget this solution. I have found another way to resolve the problem and now it working.
 
And what way did you took ?? Just for interest.

Regards, Kriki
 
Status
Not open for further replies.

Part and Inventory Search

Sponsor

Back
Top