bluesource
Programmer
Hi there
I keep getting this error on my code
Error (10621): VHDL Use Clause error at ManAlign.vhd(48): more than one Use Clause imports a declaration of simple name "SHR" -- none of the declarations are directly visible
This is the line of code I keep getting the error
if (exp_diff >0) then
-->error here manB := SHR(inB(24 downto 0), exp_diff);
else
manA := SHR(inA(24 downto 0), exp_diff);
end if; --for expdiff
I have the following libraries
Library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all; --also support SHR and SHL
use ieee.std_logic_signed.all;
use ieee.std_logic_misc.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_unsigned.SHR;
for some reason all the libraries appear blue but 'SHR'
Help Please...
I keep getting this error on my code
Error (10621): VHDL Use Clause error at ManAlign.vhd(48): more than one Use Clause imports a declaration of simple name "SHR" -- none of the declarations are directly visible
This is the line of code I keep getting the error
if (exp_diff >0) then
-->error here manB := SHR(inB(24 downto 0), exp_diff);
else
manA := SHR(inA(24 downto 0), exp_diff);
end if; --for expdiff
I have the following libraries
Library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all; --also support SHR and SHL
use ieee.std_logic_signed.all;
use ieee.std_logic_misc.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_unsigned.SHR;
for some reason all the libraries appear blue but 'SHR'
Help Please...