jackseiko
Programmer
- May 6, 2009
- 5
hi,
I need to use [LVDS(350 mV)] differential signal input/output at my project . But I have no experience about using differential signal,
do I need to use OBUFDS or OBUFDS_LVDS component ???
I'd be grateful if u give me very little example of usage of this components. Thanks in advance
-------------------------------------------------
for example
entity transmitter is
port (
clock: in std_logic;
reset : in std_logic; -- system reset
Data_out_p : out std_logic; -- differential data output
Data_out_n : out std_logic; -- differential data output
);
end transmitter;
architecture Behavioral of transmitter is
OBUFDS : OBUFDS port map ( -------------- will it be like that ? ---------------
O => ??, -- Buffer output
I => data_out_p,
IB => data_out_n);
);
begin
);
I need to use [LVDS(350 mV)] differential signal input/output at my project . But I have no experience about using differential signal,
do I need to use OBUFDS or OBUFDS_LVDS component ???
I'd be grateful if u give me very little example of usage of this components. Thanks in advance
-------------------------------------------------
for example
entity transmitter is
port (
clock: in std_logic;
reset : in std_logic; -- system reset
Data_out_p : out std_logic; -- differential data output
Data_out_n : out std_logic; -- differential data output
);
end transmitter;
architecture Behavioral of transmitter is
OBUFDS : OBUFDS port map ( -------------- will it be like that ? ---------------
O => ??, -- Buffer output
I => data_out_p,
IB => data_out_n);
);
begin
);