Tek-Tips is the largest IT community on the Internet today!

Members share and learn making Tek-Tips Forums the best source of peer-reviewed technical information on the Internet!

  • Congratulations Mike Lewis on being selected by the Tek-Tips community for having the most helpful posts in the forums last week. Way to Go!

OBUFDS or OBUFDS_LVDS ?? plz help me about differential signal 1

Status
Not open for further replies.

jackseiko

Programmer
May 6, 2009
5
hi,

I need to use [LVDS(350 mV)] differential signal input/output at my project . But I have no experience about using differential signal,
do I need to use OBUFDS or OBUFDS_LVDS component ???

I'd be grateful if u give me very little example of usage of this components. Thanks in advance


-------------------------------------------------

for example

entity transmitter is

port (
clock: in std_logic;
reset : in std_logic; -- system reset
Data_out_p : out std_logic; -- differential data output
Data_out_n : out std_logic; -- differential data output


);
end transmitter;

architecture Behavioral of transmitter is

OBUFDS : OBUFDS port map ( -------------- will it be like that ? ---------------
O => ??, -- Buffer output
I => data_out_p,
IB => data_out_n);
);


begin


);
 
Hi Jckseiko,

You can just use the OBUFDS (Output buffer differantial). Use it as followes:
Code:
entity transmitter is

port (
  clock      : in  std_logic;
  reset      : in  std_logic; -- system reset
  Data_out_p : out std_logic; -- differential data output
  Data_out_n : out std_logic  -- differential data output
);
end transmitter;

architecture Behavioral of transmitter is

  signal your_signal : std_logic;

begin

  <inst_name> : OBUFDS 
  port map(
    O  => your_signal, -- Buffer output
    I  => data_out_p,
    IB => data_out_n
  );

end Behavioral;

You can then drive the LVDS output by driving your_signal. Remember to tell ISE (Xilinx I guess) that it is LVDS. This is easily done in the constraint file (UCF file).

Also notice some syntax errors I removed and the position of the OBUFDS, after the BEGIN statement.

To use this component you also need to use the Xilinx unisim library.

Bert
 
Status
Not open for further replies.

Part and Inventory Search

Sponsor

Back
Top