Tek-Tips is the largest IT community on the Internet today!

Members share and learn making Tek-Tips Forums the best source of peer-reviewed technical information on the Internet!

  • Congratulations Mike Lewis on being selected by the Tek-Tips community for having the most helpful posts in the forums last week. Way to Go!

whats the ## in #ifdef 1

Status
Not open for further replies.

sachindn

Programmer
Jun 27, 2000
10
0
0
US
Hi All,
#define VCALL(OX, CX, MX) (*((CX##Class)(((Object)(OX))->__vptr))->MX)((CX)(OX)
In the above line whats the meaning of using ##?
Thanks
 
I'm no C expert, but I think that ## concatenates literals:

Code:
#define VCALL(OX, CX, MX)   (*((CX##Class)(((Object)(OX))->__vptr))->MX)((CX)(OX)
:
:
:
VCALL (ox1, cx1, mx1)

becomes:

(*(([COLOR=blue]cx1Class[/color])(((Object)(ox1))->__vptr))->mx1)((cx1)(ox1)

Theophilos.

-----------

There are only 10 kinds of people: Those who understand binary and those who don't.
 
Status
Not open for further replies.

Part and Inventory Search

Sponsor

Back
Top