Tek-Tips is the largest IT community on the Internet today!

Members share and learn making Tek-Tips Forums the best source of peer-reviewed technical information on the Internet!

  • Congratulations Mike Lewis on being selected by the Tek-Tips community for having the most helpful posts in the forums last week. Way to Go!

wait on

Status
Not open for further replies.

dorack

Technical User
Jun 10, 2008
3
0
0
US
Hi,

small question :

is it possible to have this kind of expression or behavior :

"WAIT ON sig1 OR WAIT ON sig2;"


(i think "WAIT ON sig1, sig2;" process"WAIT ON sig1 AND WAIT ON sig2;").

I would like my process to continue as soon as a signal update...

Would be good if someone have an idea on that :)

Thanks for the time,

David.
 
if sig1 and sig2 are std_logic_vectors, I would just make a sig3 which is the concatenation:

sig3 <= sig1 & sig2;

Then just WAIT ON sig3;
 
Status
Not open for further replies.

Part and Inventory Search

Sponsor

Back
Top