Tek-Tips is the largest IT community on the Internet today!

Members share and learn making Tek-Tips Forums the best source of peer-reviewed technical information on the Internet!

  • Congratulations SkipVought on being selected by the Tek-Tips community for having the most helpful posts in the forums last week. Way to Go!

Simple extract bits from vector

Status
Not open for further replies.

Charlie84

Programmer
Dec 6, 2008
1
0
0
CZ
Hi, i can't imagine, how to implement simple bit extractor.

-- pseudocode
signal input : std_logic_vector(63 downto 0);
signal output : std_logic_vector(63 downto 0);
type bit_index is range 63 downto 0;
signal min : bit_index;
signal max : bit_index;

-- min and max indexes determine, which bits I want to extract from input to output
-- e.g.
output(63 downto 0) <= input(max downto min);

Thank you very much for any reply!
 
Status
Not open for further replies.

Part and Inventory Search

Sponsor

Back
Top