Tek-Tips is the largest IT community on the Internet today!

Members share and learn making Tek-Tips Forums the best source of peer-reviewed technical information on the Internet!

  • Congratulations SkipVought on being selected by the Tek-Tips community for having the most helpful posts in the forums last week. Way to Go!

Please help with error

Status
Not open for further replies.

SteveD73

Programmer
Jan 5, 2001
109
0
0
GB
Hi,

Im getting the following error in the XILINX Project Navigator:


ERROR:MapLib:93 - Illegal LOC on symbol "w_clk" (pad signal=w_clk) or BUFGP symbol "w_clk_BUFGP" (output signal=w_clk_BUFGP), IPAD-IBUFG should only be LOCed to GCLKIOB site.


How can I assign w_clk to a general purpose I/O pin, rather than one of the clock inputs?

Thanks for any help,
 
You could assign w_clk to a device that takes a clock input, and have it output a high every clock pulse... It's pretty much just tricking the vhdl compiler. It doesn't want the clock pulse used for non-clock devices, but you can have a device pretty much just echo the clock pulse it recieves, and use its output for whatever you wanted to use the clock pulse for.
Hope this helps.... Good luck.
 
Status
Not open for further replies.

Part and Inventory Search

Sponsor

Back
Top