How can I connect an internal signal in more than two entities?
for example:
lets have a signal:
signal e: std_logic_vector(4 downto 0);
begin
count1: cnt port map(clk,en,reset,e);
mux1: mux port map(e,s,f);
--so as you see I used signal to connect a counter with a multiplexer. But lets say I want to connect the counter with another device as well so it looks like:
count1: cnt port map(clk,en,reset,e);
mux1: mux port map(e,s,f);
deco: decode port map(e,En,g);
when I run the synthesiser it doesnt connect the signal to third circuit.
So is it possible to use signals in order to connect a circuits outputs to many other circuits?
for example:
lets have a signal:
signal e: std_logic_vector(4 downto 0);
begin
count1: cnt port map(clk,en,reset,e);
mux1: mux port map(e,s,f);
--so as you see I used signal to connect a counter with a multiplexer. But lets say I want to connect the counter with another device as well so it looks like:
count1: cnt port map(clk,en,reset,e);
mux1: mux port map(e,s,f);
deco: decode port map(e,En,g);
when I run the synthesiser it doesnt connect the signal to third circuit.
So is it possible to use signals in order to connect a circuits outputs to many other circuits?