Tek-Tips is the largest IT community on the Internet today!

Members share and learn making Tek-Tips Forums the best source of peer-reviewed technical information on the Internet!

  • Congratulations Mike Lewis on being selected by the Tek-Tips community for having the most helpful posts in the forums last week. Way to Go!

JPEG2000 1

Status
Not open for further replies.

Godsguy

Programmer
Aug 17, 2004
3
0
0
US
I'm working on taking the new JPEG2000 compression algorithm and porting it to VHDL. Does anyone know of any IP cores that exist for educational use that will do this for me, or will complete a Discrete Wavelet Transform in VHDL?

Also, I am looking for information on the JPEG2000 file format, such as the spec sheets, more specifically, detailed information about the header files, and the actual composition of the file.
 
hey guys

I'm working too the new JPEG2000 compression algorithm and i want like you to porting it to VHDL. if anyone have any IP cores that exist for educational use that will sen it for me. (in VHDL)

my email is : nabil.abdelli@noos.fr
 
There are IP cores available, Xilinx makes one, there are a few others. Non offer educational discounts, and I beleive the cheepest one we found for in the ballpark of $10,000 - most were closer to $35,000.

E-mail me at godsguy@wpi.edu and let me know WHY you want to port the algorithm into vhdl
 
Status
Not open for further replies.

Part and Inventory Search

Sponsor

Back
Top