calebpinter
Technical User
Hello, I'm new to VHDL and trying to model the chip hc4538. As can be seen in the datasheet, the output's pulse length is determined by Tau once the Vref is reached. I'm not exactly sure how to account for that in VHDL since it uses external components. Is it actually necessary to account for that? I've posted the code that I've written thus far below.
library ieee;
use ieee.std_logic_1164.all;
entity MULTIVIB is
port ( RC,CX,A,Ao,R: in std_logic;
Q,Qo: out std_logic
);
end MULTIVIB;
architecture MULTIVIB_ARCH of MULTIVIB is
begin
process(A,Ao,R)
begin
if(R='1' AND A='1')then
Q<='1';
Qo<='0';
elsif (R='1' AND Ao='1') then
Q<='1';
Qo<='0';
else
Q<='0';
Qo<='1';
end if;
end process;
end MULTIVAB_ARCH;
library ieee;
use ieee.std_logic_1164.all;
entity MULTIVIB is
port ( RC,CX,A,Ao,R: in std_logic;
Q,Qo: out std_logic
);
end MULTIVIB;
architecture MULTIVIB_ARCH of MULTIVIB is
begin
process(A,Ao,R)
begin
if(R='1' AND A='1')then
Q<='1';
Qo<='0';
elsif (R='1' AND Ao='1') then
Q<='1';
Qo<='0';
else
Q<='0';
Qo<='1';
end if;
end process;
end MULTIVAB_ARCH;