Tek-Tips is the largest IT community on the Internet today!

Members share and learn making Tek-Tips Forums the best source of peer-reviewed technical information on the Internet!

  • Congratulations SkipVought on being selected by the Tek-Tips community for having the most helpful posts in the forums last week. Way to Go!

hc4538 monostable multivibrator in VHDL?

Status
Not open for further replies.

calebpinter

Technical User
Jul 1, 2011
1
0
0
US
Hello, I'm new to VHDL and trying to model the chip hc4538. As can be seen in the datasheet, the output's pulse length is determined by Tau once the Vref is reached. I'm not exactly sure how to account for that in VHDL since it uses external components. Is it actually necessary to account for that? I've posted the code that I've written thus far below.

library ieee;
use ieee.std_logic_1164.all;

entity MULTIVIB is
port ( RC,CX,A,Ao,R: in std_logic;
Q,Qo: out std_logic
);
end MULTIVIB;

architecture MULTIVIB_ARCH of MULTIVIB is
begin
process(A,Ao,R)
begin
if(R='1' AND A='1')then
Q<='1';
Qo<='0';
elsif (R='1' AND Ao='1') then
Q<='1';
Qo<='0';
else
Q<='0';
Qo<='1';
end if;
end process;
end MULTIVAB_ARCH;
 
Status
Not open for further replies.

Part and Inventory Search

Sponsor

Back
Top