Tek-Tips is the largest IT community on the Internet today!

Members share and learn making Tek-Tips Forums the best source of peer-reviewed technical information on the Internet!

  • Congratulations SkipVought on being selected by the Tek-Tips community for having the most helpful posts in the forums last week. Way to Go!

=> and <=

Status
Not open for further replies.

superzanti

Programmer
Jun 14, 2011
1
0
0
US
What is the difference between the => operator and the <= operator. I can't figure it out. i thought they were both signal assignment operators for the longest time.

Thank you.
 
Hi!

If you assign a value you use:

A <= B; --Then A will get the value of B, "<=" will produce a flip-flop.


=> you use in example:

port map(clk => clk)

 
Status
Not open for further replies.

Part and Inventory Search

Sponsor

Back
Top