Tek-Tips is the largest IT community on the Internet today!

Members share and learn making Tek-Tips Forums the best source of peer-reviewed technical information on the Internet!

  • Congratulations Mike Lewis on being selected by the Tek-Tips community for having the most helpful posts in the forums last week. Way to Go!

carry save adder problem

Status
Not open for further replies.

kilip21

Technical User
Dec 26, 2005
1
0
0
GR
Hi guys.
Can anyone help me with a project I have to do? I want to write the vhdl code for an 8-bit carry save adder. Also I want this code to be easily transformed into a 16-bit ar a 32-bit carry save adder. I think I can do that with the function (generic: width:=N), where N is the number of bits. Any tips will be appreciated.
Thanks a lot
 
Status
Not open for further replies.

Part and Inventory Search

Sponsor

Back
Top