Hi,
I am a newbie to VHDL. I had a question on this piece of code.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--library UNISIM;
--use UNISIM.VComponents.all;
entity CA_MUX_CNTRL is
Port ( SIN : in std_logic_vector(5 downto 0);
MUX_SEL : out std_logic_vector(7 downto 0)
);
end CA_MUX_CNTRL;
architecture CA_MUX_CNTRL_arch of CA_MUX_CNTRL is
begin
with SIN select
MUX_SEL<= "00000000" when "000000",
"10110001" when "000001", "11000010" when "000010", CA_MUX_CNTRL_arch;
I am using altera for a circuit layout and I need to grab the outputs of the multiplexer and feed them to another part of the circuit, but the symbol that i compile from this piece of code only gives me the output as one std_logic_vector line. How do i change the code so that instead of MUX_SEL being a std_logic_vector of 8 bits I can get Mux_sel(0),Mux_sel(1)....Mux_sel(7), and feed each output line to another part of my circuit. THxs!
I am a newbie to VHDL. I had a question on this piece of code.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--library UNISIM;
--use UNISIM.VComponents.all;
entity CA_MUX_CNTRL is
Port ( SIN : in std_logic_vector(5 downto 0);
MUX_SEL : out std_logic_vector(7 downto 0)
);
end CA_MUX_CNTRL;
architecture CA_MUX_CNTRL_arch of CA_MUX_CNTRL is
begin
with SIN select
MUX_SEL<= "00000000" when "000000",
"10110001" when "000001", "11000010" when "000010", CA_MUX_CNTRL_arch;
I am using altera for a circuit layout and I need to grab the outputs of the multiplexer and feed them to another part of the circuit, but the symbol that i compile from this piece of code only gives me the output as one std_logic_vector line. How do i change the code so that instead of MUX_SEL being a std_logic_vector of 8 bits I can get Mux_sel(0),Mux_sel(1)....Mux_sel(7), and feed each output line to another part of my circuit. THxs!