Tek-Tips is the largest IT community on the Internet today!

Members share and learn making Tek-Tips Forums the best source of peer-reviewed technical information on the Internet!

  • Congratulations SkipVought on being selected by the Tek-Tips community for having the most helpful posts in the forums last week. Way to Go!

Search results for query: *

  1. mygoal

    have error

    library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity sort is port(reset,clk:in std_logic; sort_array :in std_logic_vector(3 downto 0); data_out:out std_logic_vector(3 downto 0)); architecture sort_a of sort is type sort_array is array (0 to 4)of...

Part and Inventory Search

Back
Top