Tek-Tips is the largest IT community on the Internet today!

Members share and learn making Tek-Tips Forums the best source of peer-reviewed technical information on the Internet!

  • Congratulations SkipVought on being selected by the Tek-Tips community for having the most helpful posts in the forums last week. Way to Go!

Search results for query: *

  1. yoyo345

    VGA VIDEO- VHDL help to fill in missing process

    library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity Pos_Controller is port ( L, R, U, D, Move, Reset: in std_logic; Init_X, Init_Y: out std_logic_vector(9 downto 0) ); end Pos_Controler; architecture arch of Pos_Controller is Constant Len ...

Part and Inventory Search

Back
Top