Tek-Tips is the largest IT community on the Internet today!

Members share and learn making Tek-Tips Forums the best source of peer-reviewed technical information on the Internet!

  • Congratulations SkipVought on being selected by the Tek-Tips community for having the most helpful posts in the forums last week. Way to Go!

Search results for query: *

  1. m4xp0wer

    VHDL - synthesized error, please help me out!!

    Try this: process(clk, reset) begin if (reset='1') then cntr <=0; timer_alti<='0'; elsif (clk'event and clk='1') then cntr <= cntr + 1; if(cntr=3200) then timer_alti <='1'...

Part and Inventory Search

Back
Top