Tek-Tips is the largest IT community on the Internet today!

Members share and learn making Tek-Tips Forums the best source of peer-reviewed technical information on the Internet!

  • Congratulations SkipVought on being selected by the Tek-Tips community for having the most helpful posts in the forums last week. Way to Go!

Search results for query: *

  1. John5788

    VHDL bidirectional port questions

    I am trying to design the Lattice CPLD: LC4032v to kind of act as a buffer for now, and am having problems dealing with the bidirectional ports. I programmed the ports to direct data one way or another based on a clock. Here is a sample of what I am doing: library ieee; use...

Part and Inventory Search

Back
Top