I'm a newbie to Borland and am using Borland C++ 6.0 for windows XP. I was wondering if there is a Vi keystroke mapping. I checked and saw some default ones as well as a emacs one, but no Vi. Anyone know if there is a package to download or if it exists? Thanks!
I took a large binary file, used UNPACK to change it into Hex and then did some file manipulation with perl. I added in some new lines(\n) when I did the file manipulation and now I want to translate it back into the binary format with PACK. I'm not as familiar with binary files, so I was just...
I created an FTP server on my computer and it works. I know i can use WS_FTP pro as, but I want to be able to use a browser so it is easier for my friends to use. When i use internet explorer from home the ftp server page loads and looks like a windows directory structure, which i can just drag...
Hi,
I am a newbie to VHDL. I had a question on this piece of code.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--library UNISIM;
--use UNISIM.VComponents.all;
entity CA_MUX_CNTRL is
Port ( SIN : in std_logic_vector(5 downto...
This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
By continuing to use this site, you are consenting to our use of cookies.