Tek-Tips is the largest IT community on the Internet today!

Members share and learn making Tek-Tips Forums the best source of peer-reviewed technical information on the Internet!

  • Congratulations Mike Lewis on being selected by the Tek-Tips community for having the most helpful posts in the forums last week. Way to Go!

Search results for query: *

  1. DrStrangelove13

    Vga controller questions

    The main problem is that I am using a 128K RAM on my board and the code was written for a 32K Ram. Now one would think that it would be as easy as changing the ADDR from [14:0] to [16:0] and then also changin how the address is obtained from Hcnt and Vcnt but none of this works. What happens...
  2. DrStrangelove13

    Writing and reading from RAM

    Thanks for the advice guys. Probelm solved.
  3. DrStrangelove13

    Vga controller questions

    hello, I am trying to port the vga controller below to a 128k Ram[16:0] and was hoping someone may have already done this or could direct me towards the right way to do this. When I am having little success getting the controller to read a picture stored in the RAM correctly. library IEEE; use...
  4. DrStrangelove13

    Writing and reading from RAM

    Thanks for your help so far. I have another quick question regarding the memory controller.... If I was going to have some 8-bit data values to write to the RAM through the controller but instead of an external source, use an input file, how would I go about doing this?
  5. DrStrangelove13

    Writing and reading from RAM

    Thanks again for your quick response. I have reworked the RAM portion to look like this: ENTITY SRAM IS PORT( ADDRESS: IN STD_LOGIC_VECTOR(16 DOWNTO 0); DATA_IN: IN STD_LOGIC_VECTOR(7 DOWNTO 0); WE:IN STD_LOGIC; OE:IN STD_LOGIC; CE:IN STD_LOGIC; CLK: IN STD_LOGIC; DATA_OUT: OUT...
  6. DrStrangelove13

    Writing and reading from RAM

    Thanks for the response VHDL guy. Here is what I have discovered that has lead me to more confusion than before. First of all, I am using the xs40 board with a 128kx8 RAM on it. I have written some VHDL to test writing into RAM and retreiving the contents of a particular location but I do not...
  7. DrStrangelove13

    Writing and reading from RAM

    Hello, I am working on a project to eventualy display frames on a monitor via the Xs40 board. Since this is such a large undertaking I have decided to start with writing some values to RAM and then getting them to display on the 7seg in order to gain a better understanding of RAM I/O and how to...

Part and Inventory Search

Back
Top