Tek-Tips is the largest IT community on the Internet today!

Members share and learn making Tek-Tips Forums the best source of peer-reviewed technical information on the Internet!

  • Congratulations Mike Lewis on being selected by the Tek-Tips community for having the most helpful posts in the forums last week. Way to Go!

Search results for query: *

  1. asc01001

    VHDL questions!

    Hi! How can I in VHDL write if(a >= 0 and a <= 5) -- if a is between 0 and 5..??????? What type does "a" have to be to be able to do this???
  2. asc01001

    Vhld syntax problem? Beginners problem, vectors

    Hi! I have a component UDP, wrritten in VHDL, se below. Then I have a an entity that looks something like this: entyity User_Logic is port( Bus2IP_BE :in std_logic_vector(0 to 3); ); component UDP_IP is port( rx_dv :in std_logic; ) end component; Now I want to connect those two signals, but...

Part and Inventory Search

Back
Top