Tek-Tips is the largest IT community on the Internet today!

Members share and learn making Tek-Tips Forums the best source of peer-reviewed technical information on the Internet!

  • Congratulations Mike Lewis on being selected by the Tek-Tips community for having the most helpful posts in the forums last week. Way to Go!

Search results for query: *

  • Users: serom
  • Order by date
  1. serom

    attribute DELAYED is unsupported in Xilinx ISE

    Hi, I am using ISE fundation 7.01 I just want to synthesize a VHDL code that contains the expression "Clk'DELAYED(1 ns)" But each time I want to use the "DELAYED" attribute, I have the following error: The predefined attribute DELAYED is unsupported. What can I do to permit to ISE to...

Part and Inventory Search

Back
Top