Tek-Tips is the largest IT community on the Internet today!

Members share and learn making Tek-Tips Forums the best source of peer-reviewed technical information on the Internet!

  • Congratulations Mike Lewis on being selected by the Tek-Tips community for having the most helpful posts in the forums last week. Way to Go!

Search results for query: *

  1. mikeymik

    Adding and Subtracting Vectors

    I'm just new to vhdl, I'm having problems adding specific vectors, i keep getting a type mismatch error. I'm just looking to do something simple like: vec:STD_LOGIC_VECTOR(7 downto 0); I<= (vec(2)+(2*vec(3))+vec(4))-((vec(5)+(2*vec(6))+vec(7)); However I seem to declare them, i get the same...

Part and Inventory Search

Back
Top