Tek-Tips is the largest IT community on the Internet today!

Members share and learn making Tek-Tips Forums the best source of peer-reviewed technical information on the Internet!

  • Congratulations Mike Lewis on being selected by the Tek-Tips community for having the most helpful posts in the forums last week. Way to Go!

Search results for query: *

  1. JPerez2

    Trouble using arrays

    I am trying to use an array of either std_logic_vectors or bit_vectors. However, I am getting an error message that I just can't figure out what it means. Here is my code: ENTITY rom_array IS PORT(array_out :OUT BIT_vector(7 downto 0); clock :IN STD_LOGIC; row,column :IN...

Part and Inventory Search

Back
Top