Tek-Tips is the largest IT community on the Internet today!

Members share and learn making Tek-Tips Forums the best source of peer-reviewed technical information on the Internet!

  • Congratulations Mike Lewis on being selected by the Tek-Tips community for having the most helpful posts in the forums last week. Way to Go!

Search results for query: *

  1. brian02

    using a timer code snippet in a state machine

    Hi, I was wondering how to use this timer code snippet in a state machine: library ieee; use ieee.std_logic_1164.all; entity ttimer is port(reset, clock: in bit; dig_sig0, dig_sig1: out bit_vector(7 downto 0); sum: out integer range 0 to 59); end ttimer; architecture flow of ttimer is...
  2. brian02

    state machine

    Hi bonami172, Thanks for your reply. I am better at programming in c/c++, java, C# and php. These languages kind of look a like. The syntax of vhdl is totally different. I'll study this and see how it works. If i don't get it, i know where to find ya :P Thank you very much!
  3. brian02

    state machine

    Hi bonami172, Thanks for the sample code. I think you kind of misunderstood me. I'll try to explain. Suppose the door is open and the lights are still on, the car will beep to notify you about it. The sound of the beep will be short at first, like this: Beep > 1000ms delay > beep > 1000ms...
  4. brian02

    state machine

    Hi, Did ya mean like this: when "001" -- door open? end case; Sreg0 is when S3 => if yes then Sreg0 <= S4; Reset low; elsif no then Sreg0 <= S1; Reset low; end if; How can i implement a method to do the beep sound with different speeds? Thanks in advance!
  5. brian02

    state machine

    Hi guys, I was wondering if someone could help me with a simple state machine i'm trying to get to work. I'll try to explain what it needs to do and then my "source code" so far. Suppose you step out of the car and the lights are still on and door is open, then a beeper should alert you about...

Part and Inventory Search

Back
Top